JULIO / DICIEMBRE 2020 - VOLUMEN 30 (2)
/ ISSN electrónico: 2215-2652
Esta obra está bajo una Licencia de Creative Commons. Atribución-NoComercial-CompartirIgual 4.0 Internacional
DOI 10.15517/ri.v30i2.39484
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica
Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
Intelligent Photovoltaic Arrays with LFSR-Recongurable Model
Cecilia Esperanza Sandoval-Ruiz,
Profesora Titular de Postgrado de Ingeniería
Universidad de Carabobo, Carabobo, Venezuela
cesandova@gmail.com
ORCID: https://orcid.org/0000-0001-5980-292X
Recibido: 31 de noviembre 2019 Aceptado: 26 de marzo 2020
_________________________________________________________
Resumen
En esta investigación se presenta un modelo de tecnología fotovoltaica recongurable para la investigación
y el desarrollo de arreglos inteligentes. El estudio comprende una revisión de técnicas de síntesis de celdas
fotoelectroquímica, factores de optimización en arreglos fotovoltaicos y electrónica para la denición de
capas funcionales de separación espectral, concentración solar, conversión fotoeléctrica, registro de energía,
mecanismos de seguimiento solar y recuperación de calor regenerativo, adaptados a través de redes neuronales
aplicadas. Se establecieron criterios para la conguración de los dispositivos fotovoltaicos inteligentes IPVD,
soportados por tecnología FPGA. El método de modelado se basa en identicación de correspondencia de las
estructuras fotovoltaicas con la estructura LFSR: concatenación de aportes y realimentación de energía en el
marco de sistemas regenerativos. Para la formulación de la composición y síntesis, se describió la ANN fractal
en VHDL y se reportó la síntesis de la estructura circuital. Se obtiene como resultado un modelo para SFV,
reporte de mejora por seguimiento en dos ejes en el orden
10 % y los códigos de conguración del control
electrónico. Todo esto permite aportar en la migración hacia nuevas tecnologías y modelos sostenibles de la
matriz energética con estimación de eciencia e impacto ambiental. Se obtiene como conclusión un concepto
tecnológico que se basa en un modelo fractal aplicado al diseño del convertidor fotovoltaico generalizado,
coecientes de aporte concatenado y recuperadores para realimentación de energía. Aplicando el principio de
reconguración dinámica estructural a n de establecer la composición tándem dentro de un modelo circular.
Palabras clave:
Ingeniería de la Energía Solar, Modelo Matemático, Circuitos Electrónicos, Semiconductores, Inteligencia
Articial
Abstract
This study presents a recongurable photovoltaic technology model for research and development. The
study includes a review of photo-electrochemical cell synthesis techniques, which are optimization factors
in photovoltaic arrays and electronics for the denition of functional layers of spectral separation, solar
concentration, photoelectric conversion, energy recording, solar monitoring mechanisms and recovery of
regenerative heat, adapted through applied neural networks. Criteria were established for the conguration
of IPVDs smart photovoltaic devices supported by FPGA technology. The modeling method is based on the
Esta obra está bajo una Licencia de Creative Commons. Atribución-NoComercial-CompartirIgual 4.0 Internacional
DOI 10.15517/ri.v30i2.39484
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica
identication of the correspondence of the photovoltaic structures with the LFSR structure, which means the
concatenation of contributions and energy feedback within the framework of regenerative systems. For the
formulation of the composition and synthesis, the fractal ANN in VHDL was described, and the synthesis
of the circuit structure was reported. The results are obtained as a model for SFV, showing an improvement
report by monitoring in two axes in the order 10 % and the conguration codes of the electronic control. All
this makes it possible to contribute to the migration towards new technologies and sustainable models of the
energy matrix with estimates of efciency and environmental impact. In conclusion, a technological concept
is obtained, which is based on a fractal model applied to the design of the generalized photovoltaic converter,
concatenated contribution coefcients and recuperators for energy feedback. It is applied the principle of
dynamic structural reconguration in order to establish the tandem composition within a circular model.
Keywords:
Solar power engineering, mathematic models, Electronic circuits, Semiconductors, Articial intelligence
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
34
1. INTRODUCCIÓN
Actualmente muchas investigaciones se centran en la eciencia de los paneles fotovoltaicos
(FV), medida en laboratorio con estimaciones teórico-prácticas a partir del uso de materiales con
propiedades fotoeléctricas para aprovechar la irradiancia recibida sobre la supercie de estudio.
Los parques solares han sido pensados como espacios para contrastar la capacidad de conversión
de diversas tecnologías. En este orden de ideas, se propone el diseño de una plataforma portátil de
investigación (Sandoval, 2016) ahora orientada a la optimización en tecnología solar fotovoltaica,
aplicando redes neuronales articiales (ANN) con el n de estudiar el comportamiento en campo y
proponer nuevas conguraciones de paneles FV. Una recopilación de conceptos en tecnología solar
es presentada en González (2015), la cual enuncia diversos métodos para optimizar la eciencia del
campo solar fotovoltaico en situaciones de irradiación no uniforme. Estos aplican en la forma de
interconectar los módulos en el arreglo FV en conguración TCT (Total-Cross-Tied), BL (Bridge
Linked) y HC (Honey-Comb) por su incidencia en la eciencia del sistema y en las características
técnicas del panel fotovoltaico denidas por las capas componentes. Una de ellas, la capa antirre-
flejante ARC, presenta propiedades particulares en relación con el espesor diseñado de acuerdo con
la longitud de onda de la radiación que se requiere maximizar y toma en cuenta características de la
onda recibida y el índice de radiación del material para los ajustes en su composición. De manera
que se puede establecer el comportamiento esperado a través de un modelo matemático que rela-
ciona coeciente de absorción del material
y conversión e interacción entre capas para optimizar
el rendimiento de la célula fotovoltaica.
En la tecnología fotovoltaica se habla de tres generaciones: células de silicio (alta eciencia y
altos costos), tecnología de película na (disminuye costos y eciencia menor) y tecnología con-
ceptual (alta eciencia en estudio y menor costo). Entre las técnicas de optimización se tiene el
ampliar el rango espectral que puede absorber la célula (células multi-unión, células con bandas
intermedias, células con puntos cuánticos), reducir las pérdidas por termalización (células hot-ca-
rriers, varios pares electrón-hueco por fotón), adaptar el espectro solar al material receptor (con-
versión up / down, células termo-fotovoltaicas y termo-fotónicas) a través de capas superpuestas al
módulo FV y aumentar la cantidad de luz incidente (concentración fotovoltaica). Al momento de
diseñar un modelo teórico de integración tecnológica para sistemas fotovoltaicos (SFV), se deben
considerar tópicos referentes a ciencia de materiales (Venneri & Borgia, 2019), modelos de hard-
ware recongurable (Sandoval, 2019a) y control de convertidores solares recongurables (RSC)
para fotovoltaica (Kim, Parkhideh, Bongers & Gao, 2012). Esto con el propósito de optimizar
funcionalidades físicas a partir del ordenamiento de estructuras (en relación con los niveles de con-
versión y fases del material) para la adaptación de sus propiedades y comportamiento a los requeri-
mientos de diseño. Se considera para ello el modelado a partir del principio fotosintético y procesos
de biología molecular de activación e inhibición de fotones para la conversión y almacenamiento
de energía. En investigaciones sobre pigmentos orgánicos (a partir de plantas, flores y algas) se
establece las propiedades de selectividad en conversión de fotones del espectro de luz visible y su
aplicación en la biotecnología ambiental (Navarro, 2015). En Ruiz (2014) se plantean compuertas
lógicas inhibit, and, or moleculares en transferencia electrónica foto-inducida y transferencia de
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
35
energía para aplicaciones en SFV. Establecer una correspondencia entre las operaciones lógicas
del LFSR (Lineal Feedback Shift Register), selector de señales xor, inhibit, resulta una herramienta
de interés para el diseño de electrónica molecular aplicada a la implementación de celdas solares
inspiradas en fotorreacciones (en plantas y semillas) y biología molecular.
De esta manera, se plantea la investigación para el manejo de etapas de optimización como
lentes ópticos, concentradores selectivos de radiación solar, capas de semiconductores fotovoltai-
cos adaptativos, capas componentes intercambiables o manejados por habilitadores, dieléctricos
congurables, pigmentos orgánicos y capacidad biodegradable programada por campo eléctrico, es
decir, un conjunto de propiedades de las capas y materiales que puedan ser denidos por software.
Esto permitirá la formulación de compuestos fotoeléctricos in situ sobre los paneles implementa-
dos con base en la identicación de la estructura LFSR que se corresponde, de forma fractal, en la
conguración de materiales y operadores de sensibilización porcentual con realimentación lineal y
en la estructuración de capas funcionales concatenadas con realimentación de fotones en el meca-
nismo de arreglos fotovoltaicos. En Valero Delgado (2019) se expone los retos en materia prima,
destacando la necesidad que los cientícos se centren en el potencial de ahorro de materiales y
energía y la reducción de emisiones contaminantes desde una visión holística de los ciclos de vida
y las cadenas de reciclaje de diseño ecoeciente, des-ensamblaje y logística inversa. Un enfoque
en investigación de materiales recongurables en fotovoltaica se perla como una herramienta para
la integración de un modelo circular en el sector energético solar.
Todo esto lleva a plantear un modelo teórico de fotovoltaica recongurable que permita la adap-
tación de parámetros intrínsecos del material, extrapolando conceptos de reconguración electró-
nica a través del manejo avanzado de datos con ANN con el n de lograr un mejor rendimiento del
conjunto en conguración tándem. En investigaciones previas (Sandoval, 2018a) se han planteado
dispositivos inteligentes, donde se denen sistemas recongurables de convertidores de energías
renovables (ERNC) con un arreglo con múltiples etapas de convertidores (aporte en función de la
eciencia de conversión), elementos de almacenamiento y realimentación. La operación del arreglo
propuesto comprende, en régimen transitorio, el aporte de energías renovables (que dependen de
las condiciones climáticas) y la realimentación al sistema de energía almacenada (para reconver-
tirla en energía eléctrica) en un ciclo regenerativo. Con estos criterios, se estudian los avances en
materia de fotovoltaica emergente.
En Einzinger et al. (2019) se expone el concepto de sión simple como una reacción de los
fotones ante una banda intermedia de material que permite una ganancia en la conversión de energía,
llevando el límite teórico hasta un 35 %. En Martínez, Gallardo y Ríos (2016) se plantean mate-
riales alternativos para dispositivos de células solares sensibilizadas por colorante (Dye Sensitized
Solar Cells o DSSC), donde se contrastan parámetros como la conductividad iónica y estabilidad
entre los electrolitos sólidos-líquidos. En Flores (2014) se han caracterizado celdas solares sensi-
bilizadas con pigmentos naturales tales como curcumina, or de Jamaica, entre otros, lo que repre-
senta alternativas para DSSC con componentes ecológicos. En Reyes-Tolosa (2012) se presenta un
estudio de las alternativas de formación de capas en células fotovoltaicas. Se arma que las pro-
piedades de los materiales y el control de la materia a nano-escala inciden en su comportamiento.
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
36
Este hecho se debe a que los materiales no se comportan igual en sus diferentes escalas, es decir,
las características ópticas, eléctricas, entre otras, pueden verse modicadas dependiendo de como
ha sido constituido el material. Así, los semiconductores a partir de óxidos metálicos nanoestruc-
turados tienen buenas propiedades fotovoltaicas.
En la comparación de las tecnologías fotovoltaicas, se aplica el límite Shockley–Queisser (33.7
% sin concentración), el cual describe la máxima eciencia de conversión de energía solar alcan-
zable para un material en particular y se basa en el principio del equilibrio detallado entre el ujo
de fotones en un dispositivo y el ujo de partículas (fotones o electrones) fuera de ese dispositivo,
el cual no aplica a tecnologías con múltiples capas. Por su parte, las células solares nanoestructu-
radas representan una clase novedosa de dispositivos FV de unión simple, ya que éstas tienen una
eciencia máxima teórica de
42 % dentro del límite con concentración óptica, por lo que repre-
sentan una ruta alternativa de alta eciencia (Xu, Gong & Munday, 2015).
En Amorós-Pérez et al. (2019) se documentan las propiedades de los fotocatalizadores donde se
menciona el óxido de Titanio (TiO
2
). Estos materiales presentan reacciones fotosintéticas que permi-
ten la degradación de ciertos compuestos (absorción de CO
2
) para el proceso de descontaminación
o bioremediación. Asimismo, en Camargo-Silva, Muñoz-Prieto, Vera-Graziano y Gomez-Pachón
(2017) se presenta la aplicación de materiales semiconductores (óxidos metálicos) con propiedades
fotoeléctricas para el almacenamiento de energía por su potencial en ERNC. Todas estas formulacio-
nes resultan de interés para la integración de capas multifuncionales en las celdas de conversión. El
proceso de fabricación de los paneles FV está relacionado con un alto consumo de energía, el cual
es equivalente a la energía producida en los primeros años de vida útil del dispositivo convertidor.
Esto es un indicador de la necesidad de diseñar procesos de fabricación más ecientes y sostenibles.
De igual manera, en operación se debe considerar el reciclaje de energía, aprovechando las
formas de energía residual y todos los componentes del espectro electromagnético en la obtención
de energía eléctrica. Una alternativa es la integración de tecnología de almacenamiento en una capa
del arreglo FV a nivel de manejo de transportadores de energía lumínica (plasmones: plasma + foto-
nes), retardo asociado al desplazamiento de intercapas, concentradores (en términos de separa-
ción espectral y reexión interna de fotones), o eléctrica en ultracondensadores (González, 2015),
considerando las alternativas en registros de energía. Para aumentar el rendimiento de las celdas
fotovoltaicas, se cuenta con arreglos que buscan aumentar la captación de fotones en el espectro
óptico de luz visible, así como aumentar la captación de electrones en la etapa de conversión con el
n de alcanzar una mayor potencia de energía eléctrica convertida. En el caso de las células PERC,
éstas integran una lámina aislante para aprovechar los fotones incidentes en su totalidad, lo que
hace que tengan mejor rendimiento fuera de las horas de sol pico HSP o en ambientes nublados.
Las células fotoelectroquímicas se clasican en: regenerativas, conversión directa a energía
eléctrica (sin cambios químicos), y fotosintéticas, producción simultánea de energía eléctrica y una
sustancia de interés por reacción química reducción/oxidación (redox). En Alarcón et al. (2014) se
discute la optimización de materiales semiconductores para aplicaciones fotovoltaicas a través de la
preparación electroquímica de capas nanoestructuradas por la posibilidad del ajuste de parámetros
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
37
característicos del material y el aumento de la eciencia del convertidor de energía solar a eléctrica,
siendo de interés para la presenta investigación las técnicas simplicadas de bajo consumo de ener-
gía que puedan ser implementadas de forma portátil. Las celdas solares fotoelectroquímicas dan
lugar a una gran cantidad de investigaciones sobre la búsqueda de películas delgadas policristali-
nas, semiconductores binarios optimizando la banda energética o Band Gap entre sus propiedades
físicas. A partir de estos avances, se considera la síntesis electroquímica de semiconductores con
propiedades fotoeléctricas óptimas como alternativa para instalaciones fotovoltaicas adaptativas.
En Formentín (2013) se presentan las características electrónicas de los dispositivos fotovoltai-
cos de células de Grätzel, el cual incorpora una variación a las células DCCS a través de un material
nanoestructurado semiconductor mesoporoso y cuya función es aumentar la supercie de anclaje y
permitir mayores concentraciones de colorante fotosensibilizador que resulta en una cosecha más
eciente de la radiación incidente. Los resultados experimentales, aplicando colorantes orgánicos
y el uso de nanopartículas semiconductoras de TiO
2
reportan valores entre 5.8 – 11.5 % de ecien-
cia. Dentro de la categoría de tecnologías emergentes, las celdas solares de tipo perovskita (PSC),
estructuras minerales de la fórmula química ABX
3
, han llamado la atención de la comunidad cientí-
ca por los niveles de eciencia alcanzados. En Gutiérrez, Quijano, Gutiérrez y Cervantes (2018) se
presenta un análisis detallado de la estructura perovskitas (híbridas y completamente inorgánicas),
técnicas de optimización y estabilidad en el medio ambiente. Las perovskitas híbridas presentan bajo
costo y alta eciencia en el caso de la tecnología de película delgada en el orden de 21 % (Khalaji,
Bakhodaa, Saidurbc & Hanaeia, 2018) y con características como alto coeciente de absorción,
amplio rango espectral y longitud de difusión. Sin embargo, estas presentan baja estabilidad, por
lo que presentan degradación para ciertas condiciones ambientales. Actualmente, la investigación
sobre PSC se centra principalmente en formas de obtener alta eciencia y estabilidad a través de
diferentes métodos de fabricación e ingeniería de materiales. Se consideran semiconductores del
grupo III y V en la tabla periódica y las de nueva generación las perovskitas híbridas, CZTS, entre
otros. Para conseguir los PSC de alto rendimiento, se debe tener control de la morfología, la estruc-
tura cristalina y las técnicas de construcción (Pérez, Yasmin, Gómez & Vera López, 2018). Estas
técnicas pueden ser aplicadas para la conguración dinámica del arreglo de la celda solar.
Recientes investigaciones reportan una eciencia de conversión máxima teórica del 38.7 % en
una célula solar tándem de perovskita de silicio en el material BaZrTiS
3
, señalando que presenta alta
estabilidad (Nishigaki et al., 2020). Estos materiales híbridos permiten denir una línea de inves-
tigación en materiales congurables activados a través de técnicas similares a la conguración por
campo. Algunos retos corresponden a criterios de diseño como la disminución del consumo de energía
durante el proceso de fabricación de los paneles solares, la sensibilización del panel con pigmentos
sintetizados in situ, la simplicación de los componentes del panel fotovoltaico, la disminución de
la degradación de los materiales, la reconguración dinámica del arreglo de celdas fotovoltaicas y
capacidad regenerativa. Se incluye también la digitalización, es decir, monitoreo y teleoperación
para optimización del panel PV (dedicados o multiplexados), mantenimiento ecológico (mínimo
consumo de agua y procedimientos simplicados con componentes modulares), reutilización de
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
38
componentes y programación de reciclaje de materiales y residuos no tóxicos, control selectivo
de propiedades de materiales biodegradables y sistema recuperación de calor regenerativo (RCR).
Kim, Xu, Jin y Krein (2013) desarrollan un modelo considerando el conjunto de parámetros
asociados al comportamiento de los módulos fotovoltaicos en función de condiciones particulares
relacionadas con las condiciones nominales del panel, como se presenta en la fórmula (1):
(1)
Donde la I
PV
corriente fotovoltaica, en la cual se observa aportes denidos por coecientes carac-
terísticos y que se puede interpretar como la sumatoria de pesos sinápticos del arreglo PV por la
irradiancia recibida. Los parámetros están dados por I
scn
corriente de corto circuito, K
i
coeciente
de corriente-temperatura [A/K], resistencias [Ω]. G Irradiancia y G
n
la irradiancia nominal [W/m
2
]
Una vez revisados los avances cientícos en tecnologías fotovoltaicas, se observa que estos se
encuentran enfocados en materiales, por lo que una línea de investigación necesaria corresponde a
una conguración de estos. En la literatura no se ha encontrado un modelo basado en la estructura
LFSR del ordenamiento de las capas/películas de material fotoeléctrico. En tal sentido, se consi-
dera el concepto tecnológico de fotovoltaica como recongurable y el modelo matemático para el
soporte de formulaciones optimizadas en su implementación a través de celdas congurables de
material fotovoltaico en convertidores solares por medio de la captación de fotones en distintas
longitudes de onda del espectro electromagnético. Se identica como objetivo la simplicación de
los arreglos tándem, disminución de consumo de energía en fabricación y costos del material. Para
ello, se aporta como alternativa el multiplexado en tiempo-espacio del conjunto porta-capas y capas
optimizadas para un servicio de barrido de los módulos fotovoltaicos, instalados con un sistema de
control basado en lenguaje descriptor de hardware VHDL (VHSIC-HDL).
2. METODOLOGÍA
El método de modelado comprende el estudio de tecnologías, capas funcionales, materiales
experimentales (reportados en la literatura cientíca), denición de células solares híbridas e iden-
ticación de correspondencia con la estructura LFSR, para la optimización del arreglo fotovoltaico
aplicando ANN en VHDL, orientado a hardware recongurable. Para esto, se realizó la compara-
ción de semiconductores posicionados en el sector energético y nuevos materiales emergentes. Se
contrastaron las ventajas y retos de cada una y los aportes de modelos híbridos aplicados como se
resume en la tabla 1.
Es importante señalar que las diversas tecnologías estudiadas presentan una composición ja,
en tanto que la tecnología LFSR propuesta está pensada para una composición adaptativa en rela-
ción con los coecientes de optimización y habilitadores selectivos en la estructura. Se plantea así
el uso de cómputo avanzado con hardware recongurable FPGA (Field Programmable Gate Array)
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
39
Tabla 1. Comparación de Tecnologías Fotovoltaicas
Clas.
Materiales
fotovoltaicos
Costos
Eciencia
Vida Útil
(años)
Tecnología Características
I
Si-c
Si Mono-cristalino
Elevado
16.0%
20-30
Silicio Cristalino
Wafer-Based
Eciencia optimizada en Lab. 26.7%
Módulos rígidos. De 2-3 años para recuperar la
energía consumida en su fabricación
Si Poli-cristalino 14.0%
II
Thin Film
GaAs
Menores
28.8%
-
Película na
inorgánica
Módulos rígidos
(disminuye la eciencia)
Silicio TFS 08.0%
CIGS 22.6%
CdTe 22.1%
Perovskita (Pb) 22.7%
III
NTE
MJ 2 uniones (2j) - 39.2 / 44.4 -
3
M.J. Multi-unión
Tándem N.M. semiconductores de
especíco,
múltiples uniones p-n.
Densidad de Potencia hasta 776 W/m
2
MJ 3 uniones (3j) - 32.8 / 35.5 -
MJ 4 uniones o más - 40.7 / 47.7 -
Perovskita (Pb) + Si Menores 30.0% 3
Materiales
Híbridos
Inestabilidad del Material
Perovskita (Bi) + Si Menores - - Libre de plomo y muy estables
Perovskita BaZrTiS
3
- 38,7% -
Alto coef. de absorción
, compuesto no tóxico (Nishigaki, 2020)
Tintes sensibilizado Menores 11.9% > 4 DSSC
Células en conguración Tándem
Orgánicos Menores 11.5% -
Película na
Orgánica
Flexibles / Transparente / Bio
2
BPV Menores Baja -
Densidad de Potencia: 0.5 W/m
2
Carbón Nanotubos - Alta - CNT
Antenas de CNT para captar luz
Si + Dieléctrico Menores Alta - PERC
Capa dieléctrica para reectar fotones
Si + Concent. Ópt. Menores 44.1% - Lentes ópticos
Requiere menos material PV
QDSC – Quantum Dot - 12.6% -
Banda
Sintonizable
Mejoras de estabilidad (Choi et al., 2020)
LFSR Inorgánico -
Adapt.
H.C FV Recongurable
Tándem LFSR congurable
LFSR Orgánico - Bi-facial / Bio-degradación selectiva
LFSR + Concent. Ópt. - Alta Concatenación de ganancia LFSR
1
NTE: Nuevas Tecnologías Emergentes, N.M: Nuevos Materiales, PERC: Passivated Emitter and Rear Contact, H.C: Hardware Circular
2
Las celdas Bio-Fotovoltaicas BPV presentan baja eciencia, pero aportan procesamiento de CO
2
3
Los reportes de eciencia de las células Tándem M.J: Multi-Unión sin concentrador / con concentrador (NREL, 2020)
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
40
para la investigación y conguración de materiales con tecnología DSSC y compuestos híbridos
que permitan aumentar la eciencia de conversión aplicando minería de datos, simulación y técni-
cas de aprendizaje sobre el módulo de I+D+i, concentración óptica, circuitos regenerativos, PERC,
entre otras. Entre la matriz de composición, es necesario priorizar aquellos materiales compatibles
con las tecnologías verdes, células solares orgánicas, pigmentos vegetales de clorola (sintética),
entre otros, planteando además la alternativa de tratamiento de la supercie del panel solar para la
regeneración del compuesto con el n de mejorar las condiciones de estabilidad de metamateriales
diseñados para funciones especícas y propiedades regenerativas. En tal sentido, se ha incluido
entre las nuevas tecnologías emergentes un modelo LFSR para la conguración dinámica de las
celdas fotovoltaicas basado en la fabricación aditiva con la superposición de capas en arquitectura
tándem. Este modelo concatena concentradores basados en la similitud entre el comportamiento
de Stokes Shift con LFSR, realimentación reexión interna (RIT) en concentradores ópticos (San-
doval, 2020a), ltros inteligentes y sistemas regenerativos RCR.
Este estudio ha permitido identicar capas funcionales de la celda fotovoltaica con coeciente
de eciencia selectivo en un arreglo concatenado de aportes de conversión. Entre las capas en arre-
glo tándem, se presenta un retardo asociado al desplazamiento de los fotones/electrones (donde se
requiere evitar la recombinación de estos últimos posterior a la conversión); además, se presenta la
realimentación de fotones no convertidos para su aprovechamiento eciente. A esta estructura se le
incluyen las etapas previas de concentradores de radiación con estructura autosimilar en tanto que
el almacenamiento corresponde a una etapa posterior a la conversión. Éste se lleva a cabo en tec-
nologías de ultra-condensadores, células electroquímicas fotosintéticas, entre otras, lo que permitió
establecer la relación fractal del sistema. Un concepto tecnológico para energía fotovoltaica evolutiva
está basado en un esquema LFSR-Recongurable con capacidad adaptativa de las capas del arreglo
fotovoltaico para la formulación dinámica y adaptación de pesos de aprendizaje del modelo ANN,
inspirado en estructuras de múltiples capas realimentadas. Se estudió la adaptación y optimización
de los componentes y se generalizó el modelo, deniendo los componentes por correspondencia
para optimizaciones escalables en diseños sobre hardware. La identicación permitió obtener un
modelo de síntesis para los paneles solares híbridos. La correspondencia entre el modelo LFSR y
los componentes propuestos de los arreglos fotovoltaicos es presentada en la tabla 2.
El modelo LFSR puede ser aplicado en fabricación aditiva con re-pigmentación, solucionando así
el problema de estabilidad y logrando un avance signicativo respecto al rendimiento. Asimismo, la
consideración de materiales no sólidos enmarcados en láminas de vidrio que pueden ser recongurados
por campo con el n de obtener celdas PV regenerativas como una solución al impacto ambiental
de la tecnología fotovoltaica actual. Se encuentra en esta estructura de capas concatenadas la
alternativa de integrar una capa de registros de energía que serán las etapas intermedias para la
realimentación. Finalmente, todas las capas funcionales pueden tener la opción de conguración
por efecto de campo eléctrico en la matriz de energía solar PV, siendo estas características un aporte
para la reutilización de elementos y materiales, dando exibilidad al SFV. Un aspecto de interés
corresponde al diseño inspirado en la capacidad de eciencia de modelos naturales, en los que se
analizan la estructura de la supercie de la célula fotovoltaica para obtener una máxima captación de
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
41
energía solar, conversión de radiación de las diversas longitudes de onda recibidas, ajuste dinámico
de las estructuras para mejorar la irradiancia sobre la supercie del panel, entre otras. Al plantear el
desarrollo de sistemas FV ecientes, se deben considerar alternativas bio-inspiradas, pues ya en la
naturaleza se presentan mecanismos óptimos de aprovechamiento de energía solar por su capacidad
adaptativa, geometría fractal, almacenamiento, posicionamiento, colaboración y síntesis inteligente.
Estos procesos pueden resultar en una alternativa de modelado de SFV, considerando los referentes
a activación/reactivación selectiva, gestión de reservas de almacenamiento y seguimiento solar
como se presenta en la Tabla 3.
Tabla 2. Correspondencia entre niveles tecnología fotovoltaica
Nivel LFSR Capa de Operadores Almacenamiento Realimentación Selectividad
Capa Cuántica Material fotoeléctrico
Tecn. de
Almacenamiento
Re-Conversión de
energía
Diurno/nocturno
Molecular
operadores
moleculares
INHIBIT molecular - Electrónica molecular
Inter-capas
(Bandas)
Activadores /
Convertidores
Reacción de fotones
latentes
Capa dieléctrica
(reectante)
Long. de Onda. (
adapt)
Mecanismo For-
mulador
Optimizador /
Concentrador portátil
-
Dieléctrico portátil en par-
te trasera del panel
Filtros intercambiables
Semiconductores
in situ
Concentradores Solar
Portátil
Arena, cuarzo y mate-
riales para
micro-cristales
Energía Solar, disminu-
ción de emisión de CO
2
1/9 Ton
Reactivo, análisis de
sub-productos
Revestimiento
funcional (jo)
Películas nas de reves-
timiento fotoeléctrico
-
Películas nas de revesti-
miento dieléctrico
Películas nas de
sensibilizador
Meta-
materiales
Moléculas fotoeléctrica
Reacción de fotones
latentes
PERC (capa posterior)
Pigmentos de sensibiliza-
ción (
adapt)
PV-FPCA
Conversión PV congu-
rable por campo
Reacción recongura-
ble de fotones latentes
Conguración dieléctrico
de reflexión de fotones
Conguración de
pigmento sensibilizador
Al estudiar las alternativas de almacenamiento de energía a partir de luz solar, se encuentra la
posibilidad de síntesis por foto-activación, celdas o capas funcionales de registro de energía. En esta
materia, se ha desarrollado el estudio en tecnología fotoelectroquímica que permite la conversión
directa de energía solar a energía de almacenamiento químico como enlaces de Hidrogeno STH (Solar
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
42
To Hydrogen). Las investigaciones giran en torno a la selección de propiedades del semiconductor
a través de métodos de síntesis (Ver tabla 4).
Seguidamente, se dene un arreglo de capas funcionales, identicado en etapas de optimización
dentro de la composición estructural basados en foto-catalizadores (etapa frontal), modelo ANN de
módulos de concentración HCPV (Almonacid, Fernández, Rodrigo, Pérez-Higueras, & Rus-Casas,
2013), registro de almacenamiento de energía (Camargo-Silva, Muñoz-Prieto, Vera-Graziano &
Gomez-Pachón, 2017) y realimentación selectiva (etapa posterior), así como en las etapas interme-
dias y el mecanismo de gestión inteligente en el marco de la tecnología bio-fotovoltaica. El modelo
LFSR para arreglos solares recongurable se basa en la integración de tecnologías fotoelectroquímica,
biología molecular, física, mecánica cuántica, ciencias de materiales (ANN), cómputo avanzado y
electrónica de hardware recongurable.
Tabla 3. Identicación de Bio-Funciones en Diseños de Sistemas Fotovoltaicos
Bio-Funciones
Bio-
Identicadores
Identicadores
S. Fotovoltaicos
Correspondencia en Aplicaciones Fotovoltaicas
Fotosíntesis Clorola
Materiales
Fotoeléctricos
Foto-Electroquímico
Sensibilización por pigmentos en los paneles, a
través de concatenación de operadores pondera-
dos, sobre el espectro de radiación recibida.
Activación de
semillas
H
2
O + Enzimas
Foto-catalizadores
TiO
2
Activación de foto-catalizadores para simpli-
car la descomposición de las moléculas, en
materiales (no sólidos, con espacios vacíos en
su estructura > energía transformada). Control
por efecto campo eléctrico.
Reservas
inteligentes
Energía – H
2
0 CSL
Inhibidores de reacción para almacenamiento
de fotones en estado latente y Regeneración de
enlaces de manera controlada
Germinación
H
2
0 + Energía
(Temperatura)
H
2
0 H
2
+ ½ O
2
Celdas Foto-electroquímicas disociación de
agua para producir Hidrogeno
Reconguración inteligente de estructuras del
convertidor fotovoltaico.
Seguimiento Solar Foto-sensibilidad ANN
Aprendizaje ANN por refuerzo, con bio-tutoría de
plantas naturales, a través de sensores de bra óptica
anclados a árboles o enredaderas para aprender su
comportamiento. Esquemas de control y electrónica
de potencia MPPT.
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
43
Tabla 4. Características de Tecnología Foto-electroquímica
Materiales fotovoltaicos
Eciencia
Características
AgBiS
2
(Bernechea, Miller, Xercavins,
So, Stavrinadis, Konstan, 2016)
6.3%
Proceso en solución a temp < 100°C. Espesor capa activa
de solo 35 nm
Bi
2
WO
6
(Madriz, Tatá, Cuartas, Cuéllar
& Vargas, 2014), (Cereceda, 2018)
Alta
Método hidro-térmico (asistido por microonda), caracteri-
zadas por microscopía electrónica de barrido, Espectrosco-
pía
1
UV-Vis. Bi
2
WO
6
absorción espectro luz visible
(Díaz-García, 2017) Baja
Las técnicas de síntesis por sol-gel y electro-depósito son
ecaces y no requieren equipamiento sosticado.
(Li et al., 2015; Young et al., 2017) 14%
Dispositivos monolíticos integrados en tándem basados en
elementos de los grupos III-V
Bio-electoquímica (Cevik et al., 2020;
Pankratov, Pankratova & Gorton, 2020)
-
Densidad de potencia de 42.2 W/m
2
al optimizar el meca-
nismo fotosintético de las algas verdes, usando concentra-
ción de Boro, aplicadas en celdas de combustible
Donde se aplican técnicas de caracterización, tales como electroscopía de dispersión EDX, difracción de rayos X
ERX, espectroscopia en la región visible (espectrofotómetro), para el análisis de composición.
1
Espectroscopía Ultravioleta visible UV-Vis de reflectancia difusa.
2.1 Fractal Programmable Converter Array – FPCA
Una matriz programable de ordenamiento está basada en una batería de elementos para com-
posición de materiales fotoeléctricos, la cual es responsable de la conguración de las propiedades
del material y es denida por un software para la síntesis de material optimizado. De esta manera,
pueden interactuar con diseños ya implementados y optimizar sus funciones desde la actualización
de infraestructura convencional sostenible hasta centrales eléctricas con nuevas tecnologías más
ecientes y respetuosas con el medio ambiente. Esta propuesta permitiría realizar estimaciones de
eciencia, estrategias de optimización y mantenimiento preventivo de los paneles fotovoltaicos
(mecanismo robótico, ventilación forzada y circuitos recuperadores de calor) para lograr ampliar
la vida útil de los equipos. Por otra parte, se deben programar medidas de gestión de residuos de
los paneles PV, dado el volumen que representan las instalaciones solares en la actualidad. Esto se
da reutilizando componentes del SFV, lo que requiere menor consumo de energía que los procesos
de reciclaje de materiales que sean inocuos con el medio ambiente. Partiendo de la armación “si
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
44
se distribuyen responsabilidades del reciclaje a los fabricantes, esto les ayudará a tener en cuenta
el ciclo de vida de un producto durante la fase de diseño”, se deberán diseñar paneles solares con
alternativas de reciclaje programados. Acá se propone un marco estructural congurable con acopla-
dores geométricos y composición con ponderación selectiva. El diseño conceptual del mecanismo
propuesto se presenta en la Figura 1.
Figura 1. Diseño Conceptual del Mecanismo de Optimización
Acá se plantea la aplicación de Articial Neural Network (ANN), digitalización de señales y
gemelos digitales para el diseño o actualización de las células fotovoltaicas ya instaladas. También
se plantea la combinación de hardware recongurable para implementar compuestos de pigmenta-
ción con el n de obtener un mejor rendimiento en los paneles solares, entre ellos la pigmentación
verde en las celdas solares en correspondencia con los sistemas fotosintéticos, y la consideración
de más de un canal para capturar energía solar y hacer la conversión eciente en la liberación de
electrones. La plataforma está pensada con el objetivo de lograr un sistema inteligente basado en
ecuaciones generalizadas de objetivos que permita la conguración particular, adaptación de los
parámetros y ponderaciones, así como la optimización del arreglo. Uno de los importantes aportes
corresponde al diseño programado por capas para el reciclaje y gestión de materiales componentes.
2.1.1 Reconguración Dinámica del Arreglo Fotovoltaico.
El modelo teórico permite el desarrollo de tecnología solar híbrida y microredes de energía
basados en circuitos LFCS (Sandoval, 2018b). El concepto de LFSR_PV es novedoso, ya que ofrece
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
45
una generalización del arreglo e introduce elementos de memoria y realimentación selectivas. Las
estructuras nanoelectrónicas de material fotovoltaico pueden ser reordenadas con el n de establecer
un modelo matemático de estructuras con propiedades ópticas, fotoeléctricas y termoeléctricas
controlables en el material semiconductor con composición tándem. Allí, la optimización de la
estructura logra una mejora exponencial en la eciencia del panel en relación con la irradiancia,
potencia incidente por unidad de supercie de todo tipo de radiación electromagnética, en este caso
radiación recibida solar (directa y difusa) expresada en W/m
2
.
2.1.2 Actualización Tecnológica.
Entre las nuevas tecnologías se plantea el análisis de imágenes con inteligencia articial,
drones de monitoreo y robótica (Valero-Moro, Bonilla-Turmero & Sandoval-Ruiz, 2017). Para
la actualización de paneles solares instalados, se emplean sistemas robóticos en: ensamblaje de
paneles solares, limpieza para mínimo consumo de agua (rodillos repasadores, vapor de agua,
barrido supercial con aire comprimido, etc.), inspección (condiciones del sistema de generación
y matriz de conmutación a través de reconocimiento de imágenes, termografía y rendimiento) y
mantenimiento (sistema de seguimiento, conguración del punto de máxima irradiancia y respuesta
de los actuadores, pruebas eléctricas y acoplado de etapas del sistema). En esta propuesta se plantea
en robótica de adaptación dinámica de las instalaciones como: concentradores, estabilización térmica
para implementación de concentración, sensibilización por pigmentos orgánicos para aprovechamiento
de la radiación en diversas longitudes de onda, regeneración de componentes, almacenamiento y
revestimiento inteligente de materiales biodegradables que permita simplicar la reutilización de
componentes de los sistemas de energía solar. Esta alternativa de la actualización de los paneles
instalados a través de mecanismos inteligentes comprende la reconguración de lentes del sistema
óptico de concentración y capas del arreglo tándem a través de un dispositivo móvil que realice el
barrido de forma modular del panel FV, optimizando la eciencia.
2.1.3 Componentes de Paneles Solares Fotovoltaicos Regenerativos
En la línea de paneles fotovoltaicos recongurables se propone el diseño de cubiertas frontales
(supercie de material regenerativo, cambio por eliminación o des-habilitación remota para tratamiento
de la supercie del panel sin consumo de agua), es decir, un revestimiento congurable a través
de un control selectivo con ltros programables; todo esto con el objetivo de mejorar la eciencia.
Por otra parte, la aplicación de ANN e inteligencia articial permite soportar la clasicación de
materiales funcionales como medida de solución a la obsolescencia programada. Esto se logra
integrando conceptos de reciclaje electrónico, materiales fotoeléctricos y sustratos reutilizables en
el concepto de hardware circular. Se debe tener en cuenta las investigaciones recientes donde se han
presentado células solares de tres o cuatro capas diferentes de material, optimizadas para hacer uso
de las diferentes longitudes de onda de luz que componen el espectro solar con técnica de despegue
epitaxial en donde el material se despega del sustrato y éste puede ser reutilizado (ESA, 2020). Esto
representa un aporte importante respecto al impacto ambiental de las tecnologías fotovoltaicas por
la posibilidad de reciclaje de las células solares.
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
46
2.1.4 Re-pigmentación DSSC en arreglo fotovoltaico
Uno de los retos que se presentan en los pigmentos orgánicos corresponde a la degradación por
luz y la inestabilidad, lo que hace que estos panales requieran ser regenerados de manera periódica.
El modelo LFSR, con realimentación lineal, se plantea como una solución para re-pigmentar las
celdas fotovoltaicas y así garantizar el rendimiento óptimo del arreglo en el tiempo. La actualiza-
ción sobre un sistema instalado de paneles fotovoltaicos de silicio se puede plantear como un meca-
nismo móvil que incorpore los dispositivos de optimización (ltros, concentradores, recuperadores
de calor) sobre las células solares en un barrido secuencial. Entre los componentes se adiciona un
sistema de ventilación para el control de temperatura del panel fotovoltaico, partiendo de reportes
de eciencia fotovoltaica mejorada en un 23.9 % que aplican materiales de cambio de fase (PCM)
en comparación con la fotovoltaica convencional (Hassan et al., 2020). Se plantea un carro móvil
con un lente de polarización para un efecto remanente sobre las células de material semiconduc-
tor de silicio y un revestimiento correspondiente a la impresión sobre el panel de C.S.O (Células
Solares Orgánicas), siendo estas transparentes en conguración tándem con el panel de instalado.
En las investigaciones se establecen un conjunto de factores que inciden sobre la eciencia del
panel fotovoltaico. Estos pueden ser considerados para la optimización de forma dedicada o bien
de forma compartida. Una de las propuestas es compartir recursos electrónicos como lentes de con-
centración, ltros de longitud de onda selectivos, sensibilizadores a base de pigmentos y reciclaje
de calor a través de circuitos de recirculación de calor y materiales termoeléctricos. En la imple-
mentación se puede denir el método de diferencias nitas para estimación de recursos hardware
(Sandoval, 2019b), basado en las ecuaciones del modelo para extrapolación del comportamiento
y características de implementación.
2.1.5 Multiplexado Espacio-Temporal de Tándem FV
Se analizan las características de construcción de los materiales fotovoltaicos que componen la
tecnología de células solares, considerando la energía consumida en el proceso de fabricación, su
efecto contaminante del material y su costo. Una solución ya estudiada es reducir el área del material
fotovoltaico a través de un arreglo óptico de concentración solar para aumentar la eciencia de la
célula fotovoltaica con menor material. En este punto se plantea la multiplexación del material que
se requiera optimizar, creando un mecanismo robótico de desplazamiento sobre el eje x y eje y del
panel solar. Se incluyen en este un porta-ltro para implementar ltros ópticos, material fotovoltaico
en conguración tándem y técnicas de optimización en espacio y tiempo, considerando una resolución
de conversión discreta y aplicando ash de luz (concentrada / polarizada) para alcanzar un efecto
híbrido del sistema fotovoltaico en tema de eciencia. Se plantea un conjunto porta-capas para el
arreglo móvil de lentes polarizadas con el n de aprovechar la luz en la longitud de onda especíca
para el arreglo fotovoltaico. Asimismo, un arreglo tándem de material fotovoltaico, el efecto del
arreglo tándem (multiplexado) en las células del panel, permitirían mejorar su eciencia. Algunos
de los arreglos presentan inestabilidad, efecto de polarización y otros factores que reducen el
rendimiento con la atención regular en el procedimiento de mantenimiento regenerativo del panel
solar. Se plantea la reconguración dinámica de los componentes y así la estabilización óptima
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
47
del mismo. En el estudio de la fotosíntesis articial, se plantea tanto la síntesis del material PV en
sitio a través de un arreglo recongurable, así como la incorporación de tintes vegetales (clorola
sintética) o paneles fotosintéticos basados en algas y composición vegetal para ampliar el alcance
de la instalación en términos de conversión de energía y almacenamiento de energía en las celdas
de conversión.
2.1.6 Redes Neuronales para SFV Inteligentes
En el diseño de compuestos de materiales fotovoltaicos se puede aplicar una matriz de evaluación
de eciencia, estabilidad, nivel de toxicidad, reutilizabilidad, impacto ambiental y otros factores
determinantes en el comportamiento de los paneles solares. De esta manera, se diseña un LFSR-
Formulador Inteligente basado en estructura de realimentación lineal, aplicando ANN para la
adaptación de pesos de cada capa.
2.2 Método de Conguración del Esquema LFSR de la Red Neuronal Articial
Se seleccionó una red neuronal Perceptrón Multicapa (MPL) por sus características de genera-
lización y estructura circuital, que permite su adaptación al esquema LFSR en conguración Galois.
El esquema de ANN ha sido tratado como operadores de composición de una red fractal (Sandoval,
2020b) bajo el criterio de establecer operadores neuronales con un modelo VHDL simplicado,
entrenamiento fraccionado de subredes y sus conexiones de interacción a través de un sistema de
funciones iteradas. Esto permitiría optimizar el arreglo neuronal. Para el caso de los neuro-ope-
radores con entrenamiento supervisado (Sandoval, 2020b) en la denición de los coecientes del
arreglo LFSR, se aplica como método de optimización de la función de costo el algoritmo de des-
censo por gradiente (Gradient Descent). Para esta aplicación, el entrenamiento reportó un error de
7.24E-7, luego de 2000 épocas de entrenamiento con una constante de aprendizaje de 0.001. La red
neuronal fractal permite concatenar esquemas de control inteligente en la optimización del sistema
fotovoltaico a nivel de composición tándem de celdas fotoelectroquímica, seguimiento solar, refri-
geración de celdas, concentración, etc., con relación a aprendizaje por refuerzo para las variables
ambientales de irradiancia y aprendizaje supervisado en subredes de target conocido, superando
los mínimos locales del MPPT.
Se modeló un arreglo fotovoltaico con topología LFSR. Los IPVD programables y el selector
de realimentación serán los responsables de la conguración de la matriz programable de paneles
solares. Un panel fotovoltaico híbrido o arreglo de células PV se comporta como una red neuronal
PV, por lo que se realizó el modelado del sistema de potencia partiendo de (2):
(2)
Al sustituir el aporte de cada una de las etapas (coecientes de las capas del sistema fotovoltaico)
y la realimentación de los registros de energía y calor y
s-1
, se tiene el modelo dado por (3):
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
48
(3)
Donde la eciencia está dada por los pesos del optimizador: seguidor/concentrador (para el caso
práctico se simuló el sistema de seguimiento solar en dos ejes) y convertidor en arreglo tándem
(LFSR). Cada uno de estos coecientes será denido y adaptados de forma dinámica por la ANN
diseñada en VHDL.
3. RESULTADOS
Tabla 5. Propuestas de Tecnologías de Optimización
Propuesta Descripción
Cristalización
de Silicio in situ
La producción local de paneles fotovoltaicos con un concentrador móvil (mecanismo robótico)
que, empleando energía solar de concentración térmica, alcance la temperatura de tratamiento de
la arena para la puricación del Silicio Solar (aprox. 900 °C), disminuya las emisiones de CO
2
de
fabricación, ensamblaje y transporte, y simplique la fabricación y permite la obtención de micro-
cristales para reparaciones y ampliación de la vida útil del módulo.
PV-FPCA
Capas concatenadas de semiconductores y sensibilización de pigmentos orgánicos, análisis de
bajo impacto ambiental durante fabricación, operación y desmontaje. La capacidad de programa-
ción por campo o reconguración por síntesis selectiva de compuestos, lo hace un panel fotovol-
taico de hardware circular, con formulación, adaptación y reconguración dinámica, que permite
máxima eciencia y simplicación del proceso de mantenimiento y actualización tecnológica.
Paneles Solares
Inteligentes
La inteligencia articial está planteada para tareas de formulación y diseño de materiales, robótica
de mantenimiento regenerativo y reciclaje inteligente. Más importante aún, la inteligencia natural
incorporada y emulada a partir de materiales orgánicos, procesos fotosintéticos de seguimiento
de luz y almacenamiento de fotones, síntesis y procesamiento de CO
2
, combinando paneles de
bioremediación (vegetación en sistemas hidropónicos y algas).
Mecanismo
Robótico
Multi-Funcional
Programable para funciones de la supercie frontal y posterior del panel fotovoltaico. Limpieza,
concentración, sensibilización, tándem multi-unión, capa de realimentación (por dieléctrico), cir-
cuitos de recuperación de calor regenerativo, ventilación forzada, entre otros.
Estados de
materiales
Densimetría del material y su comportamiento como donador y receptor en la conversión foto-
voltaica. Reacción de fotones para estar en estado de latencia, activación controlada (por campo),
para reactivación programada, inspirada en inteligencia natural de los materiales.
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
49
Los resultados vienen dados por las ecuaciones del modelo matemático del arreglo fotovoltaico
híbrido en función de la caracterización multicapa, descripción VHDL de los componentes de la
estructura LFSR y el generador de red neuronal para optimización dinámica del arreglo solar. En
esta investigación, se propone la superposición tándem a través de capas en conguración LFSR
con realimentación entre ellas que permita ampliar la eciencia, así como el almacenamiento de
fotones y mayores índices de absorción para la conversión de energía. De esta manera, se proponen
los siguientes conceptos (ver Tabla 5).
El concepto tecnológico seleccionado para la plataforma parte de una composición Fractal-LFSR,
que puede ser ampliado a partir de aplicaciones precedentes en el campo de las energías renovables
(Sandoval, 2019c). Se establece la formulación matemática para la ANN, que modela el sistema
fotovoltaico recongurable, compuesto de un arreglo de aportes paralelos en conguración tándem
y de un sistema óptico que comprende lentes, concentradores, capa anti-reflexión, geometría fractal,
propiedades físicas de los materiales y conguración del arreglo óptico. Un sistema de seguimiento
permite mejorar la eciencia en el orden de 5 % (Fernández- Ahumada et al., 2020), compuesto por
sensores de monitoreo, motores de accionamiento, estructura y lógica de control para el manejo de
estos. El mecanismo de optimización por multiplexado estará denido en dos ejes. La síntesis de
materiales funcionales se puede denir a partir de componentes minerales o compuestos biológicos
inspirados en los procesos de fotosíntesis de las plantas. Actualmente se adelantan proyectos de
naturalización urbana de espacios, infraestructuras verdes, entre otros, siendo de interés el estudio
de circuitos de fotocatalizadores (óxidos metálicos sintetizables, clorola, algas, etc.) para integrar
funciones de remediación ambiental.
Llegado a este punto, la base para la red de ordenamiento estará basada en ponderadores o
dosicadores de los componentes en una formulación dinámica para obtener el comportamiento
proyectado. Asimismo, se contará con una batería de componentes y una memoria de fórmulas
obtenidas para su optimización, es decir, la combinación, selección y realimentación de los com-
puestos o materiales en el arreglo estructural. Estos componentes comprenden una arquitectura
LFSR que será el operador de conguración para el diseño. La principal potencialidad es la de-
nición de un modelo como concepto tecnológico basado en hardware recongurable, que permite
describir el comportamiento de estructuras fractales LFSR (inspiradas en la naturaleza) como un
código de generación para fotovoltaica. De esta manera, con el avance de la tecnología, se puede
actualizar la implementación, respetando criterios de sostenibilidad y hardware circular, reciclando
en el mecanismo diseñado componentes y materiales por dosicación / habilitación de estructuras
del convertidor de energía. El modelo se ilustra en la Figura 2.
El esquema busca integrar aportes tecnológicos (ver Tabla 6) en una matriz de hardware
recongurable a través de dispositivos electrónicos inteligentes para fotovoltaica, manejados por
tecnología FPGA.
Se dene un código que permitirá la conguración inteligente, la síntesis de materiales en relación
con la función objetivo, la reparación de capas, polarización, aumento de eciencia, reclasicación
y actualización sin producir residuos.
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
50
Figura 2. Esquema Fractal-LFSR en Sistemas Recongurables de Conversión de Energía
Tabla 6. Aportes de modelo PV-LFSR
Aporte del Modelo A nivel de arreglo A nivel de Materiales Modelo Teórico Ambiental
Simplicación Diseño Modular Enlaces simples
Ecuación
generalizada
Mínimo consumo
de energía
Flexibilidad Módulos Congurables Control de propiedades Formulación in situ Hardware Circular
Regeneración Diseño Regenerativo Re-activación
Actualización
Dinámica
Biodegradables
Eciencia
Arreglo para
adapt.
Máxima conversión
Concatenación de
parcial
Minimiza Impacto
Los módulos solares serán como árboles que pueden escalar de manera fractal su composición
hasta llegar al nivel de nanotecnología, manejo de polarización de las lentes y nivel cuántico para
el ordenamiento de los componentes en la conguración dinámica de los materiales, basados en las
condiciones climáticas, objetivo de eciencia y propiedades de la estructura en el proceso de hardware
circular. La implementación de un sistema de conguración dinámica necesita elementos discretos
como sensores de irradiancia, algoritmo que determine continuamente la conguración óptima y
la matriz de conmutación. Estas conguraciones son detalladas en González (2015) además de la
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
51
estructuración de capas. En primer lugar, se denió un control del sistema, un operador básico, que
tiene arquitectura similar a la propuesta para la tecnología solar recongurable. Se trata del operador
LFC(n,k) en una matriz generalizada, que permitirá ponderar los aportes de componentes en el
autoordenamiento inteligente de la estructura (Sandoval, 2020c), como se presenta en la Figura 3.
Figura 3. Matriz de Operadores LFC(n,k) para Arreglo Congurable PV
Denida la arquitectura del operador básico, es posible denir un arreglo de geometría fractal
para la aplicación de conguración de los paneles fotovoltaicos. Se plantea la investigación en
materiales inteligentes que permitan la reconguración dinámica de los paneles solares además de la
biodegradación selectiva del material. Esto será desde el sistema solar distribuido con interconexión a
la red inteligente, parques solares de investigación y arreglos solares portátiles para la producción de
energía como sistemas de respaldo. El problema de optimización de la tecnología solar (fotovoltaica
o termoeléctrica) está sujeto al diseño de materiales con propiedades de alta eciencia que puedan
ser adaptados a las condiciones dinámicas. Los dispositivos de hardware recongurable pueden ser
la alternativa para la implementación de modelos altamente ecientes de tecnología solar. Esto a
partir de la identicación de correspondencia entre componentes de la estructura LFSR, basado en
una técnica de reordenamiento y concatenación de operadores lógicos en un arreglo LFC(n,k) de
estructuras secuenciales de conguración (Sandoval, 2012) para sistemas instalados que requieren
multiplexado en el tiempo para atención de las celdas FV de modelos diseñados con hardware
dedicado.
A partir de la relación entre capas del arreglo solar, se ha desarrollado una ecuación que describe
la concatenación fractal de los operadores fotoeléctricos estandarizados en conguración dada por (4):
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
52
(4)
Donde F(x) corresponde a la operación matemática LFC (n,k), resultante entre G(x), irradiancia
recibida, y C(x), el polinomio característico del convertidor fotovoltaico. Este último corresponde
a los coecientes de conversión donde se concatenan las operaciones parciales correspondientes a
las salidas de conversión por capa en la posición i, siendo i-1 la posición más profunda del arreglo
en donde se realimenta la energía no convertida del espectro. En este caso, se ha representado
el multiplexado en el tiempo del arreglo tándem con registros secuenciales de resultados de
conversión parcial. Este enfoque permite identicar los parámetros a optimizar, donde las ANN
pueden aportar en la adaptación de la matriz C(x) para la formulación dinámica de materiales
fotoeléctricos inteligentes, siendo reformulado el operador descriptor del arreglo (5):
(5)
De esta forma, los productos internos del arreglo fotoeléctrico (operadores de conversión) para
cada coeciente del polinomio generador del arreglo LFC (propiedades que denen el comportamiento
de la estructura) coincide con los pesos característicos denidos del material híbrido. El modelo
teórico desarrollado permite la descripción en VHDL de los operadores basados en circuitos LFCS,
estableciendo una relación directa entre operadores matemáticos y operadores neuronales. Se logra
denir un esquema circuital parametrizable para la estimación de recursos de hardware. De esta
manera, las optimizaciones realizadas sobre un circuito base pueden ser extrapoladas en aplicaciones
generales como fotovoltaica recongurable y síntesis dinámica de capas del convertidor FV.
3.1 Aplicación del Modelo Fractal ANN
El modelo fractal ANN simplica la descripción VHDL de sistemas adaptativos con variables
acotadas a través de un modelo de funciones iteradas en el sistema conexionista. El aporte a nivel
de ingeniería está dado por las ecuaciones matemáticas para la generación de código hardware como
soporte para la implementación de SFV regenerativos. Es decir, se dene una función especíca
LFSR y se reconocen los patrones autosimilares en el modelo del concentrador, celdas fotovoltaicas y
control para establecer la optimización. Esto además permite la reutilización de hardware y elementos
circuitales de operadores básicos que son componentes del modelo. Cada subred, según el nivel de
profundidad circuital, tiene sus parámetros particulares: dimensión de las señales, complejidad del
operador (a mayor profundidad los operadores serán circuitos autosimilares internos y capacidad de
almacenamiento), lo que lleva a una identicación de correspondencia entre las aplicaciones para
las etapas del sistema de potencia y control. Todo esto con la misma arquitectura LFSR que dene
el patrón fractal. El arreglo puede ser adaptado en forma secuencial para redes externas y circuitos
concurrentes para subredes internas de acuerdo con la aplicación.
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
53
Tabla 7. Denición de Componentes LFSR
Denición del Componente en VHDL Código VHDL del Comportamiento
Operador LFSR interno (representación neuronal)
entity Red_Neuronal is
port ( yp: in std_logic_vector (7 downto 0); …
wij: alg port map (wd,xi,yi); -- wn+1 <= función_
de_aproximación(wn)
d1: TDL port map (in,out);
c1: capa_sigma port map (yp,u,a1);
n1: neurona port map (pi,wi,bi,y1);
-- Conguración de las capas intermedias y las neu-
ronas componentes
nS: neurona port map (pi,wi,bi,yS);
cS: capa_lineal port map (a1, ym); -- Capa de Sa-
lida
U1: Identicador_Sistema Port Map (x1,x2,x3, y1,y2);
U2: Controlador_Neuronal Port Map (u1,d1,y1);
...
-- Modelo Neuronal / Códigos Reed Solomon / LFC (n,k)
-- Concatenación ponderada con realimentación lineal LFCS
u1: a2<=a1(6 downto 4) & (a1(3) xor a1(7)) & (a1(2) xor
a1(7)) & (a1(1) xor a1(7)) & a1(0) & a1(7);
-- Generación de términos del LFSR:
-- ut: at+1 <= at(i) xor (at(m-1) and p(i) & ... for i=m-1 to 0
Elemento de Almacenamiento/ Registros congurables
entity Almacenamiento is
port ( clk : in std_logic;
Ent : in std_logic_vector (m downto 0);
Sal : in std_logic_vector (m downto 0);
Eo : out std_logic; -- Habilitación controlada
(opcional)
end almacenamiento;
architecture Behavioral of Almacenamiento is
process (clk)
begin
if clk= ‘1’ and clk’event then
Sal <= Ent; end if;
end Behavioral;
Arreglo de Realimentación Selectivo (Multiplexor)
entity Selector is
port ( I : in std_logic; -- Entrada de Ctrl. selectora
Ent0, Ent1 : in std_logic_vector (3 downto 0);
Sal: out std_logic_vector (3 downto 0);
End Selector;
architecture Behavioral of Selector is
process (I)
begin
if I = ‘0’ then Sal <= Ent0; Else Sal <= Ent1; end if;
end Behavioral;
El concepto tecnológico corresponde a la combinación de manejadores FPGA y capas selectivas
en la composición LFSR de una célula de conversión de energía solar. Dos puntos de innovación
serían (1) una matriz de componentes nitos para combinaciones de arreglos, lo que se traduce en
mayores alternativas de optimización y la ampliación de la eciencia y vida útil del panel, y (2) la
disminución de costos para sistemas distribuidos, en donde se pueden congurar los m elementos
del arreglo de acuerdo con sus características y condiciones. El optimizador correspondería a una
red de ordenamiento (en un dispositivo electrónico FPGA) y una red de reserva (una matriz de ele-
mentos componentes para la conguración del módulo fotovoltaico) con monitoreo de eciencia,
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
54
conguración dinámica y realimentación (calor residual a módulos con materiales termoeléctricos)
para la producción de energía regenerativa. De esta manera, se tiene un sistema inteligente con
un control electrónico por ANN implementado en dispositivos FPGA con convertidor de energía y
mecanismo de accionamiento para posicionamiento, matriz de conmutación del arreglo FV y sis-
tema distribuido con operación remota de los equipos del sistema eléctrico de potencia, en el marco
de una plataforma tecnológica para el teletrabajo.
Todo esto permite alcanzar la reducción de consumo de energía en el proceso de producción,
un modelo de hardware circular, un impacto ambiental mínimo y la optimización dinámica para
lograr mayor eciencia en las nuevas tecnologías. Si se diseñan soft-solar panel, es decir paneles
solares denidos por software con elementos suaves en la composición de la cámara fotovoltaica /
capas (composición inteligentes) que pueden ser recongurados en su vida útil, podría simplicarse
el proceso de fabricación hacia la reconguración dinámica, aprovechando las fuentes renovables
para disminuir el consumo energético y hacer una tecnología más sostenible en términos generales
durante todas las etapas de la vida útil del sistema. Además, este soportaría las reparaciones, mante-
nimiento regenerativo y optimización en operación. A partir de la generalización del modelo desa-
rrollado (conjunto de ecuaciones de generación de patrón fractal), se obtiene como resultado una
librería en VHDL para la conguración de componentes del esquema LFSR, componentes básicos
y la red neuronal de modelado inteligente, destacando que el modelo ha sido diseñado de forma
parametrizable para los diferentes niveles de la composición fractal (Ver Tabla 7).
Estos códigos permiten implementar funciones descritas en el modelo LFSR de manera e-
ciente con capacidad de reconguración dinámica para la denición del comportamiento del panel
fotovoltaico a través de software Software Dened Photovoltaic (SDPV).
En este punto, surge el concepto tecnológico de extrapolar los avances en sistemas de potencia
recongurables (Sandoval, 2019c) Smart Grid y los dispositivos electrónicos inteligentes IED
(del inglés Intelligent Electronic Devices) para avanzar en el desarrollo de tecnologías de modelo
circular en el área de fotovoltaica y fotovoltaica de alta concentración sin necesidad de desmontar los
arreglos fotovoltaicos para adaptar los cambios que conlleven una mayor eciencia del sistema solar
instalado. Este último aspecto resulta valioso en el área de costos y versatilidad. El estudio práctico
está orientado a los códigos de soporte de los dispositivos electrónicos en tanto el enfoque teórico esté
dirigido al concepto tecnológico y las ecuaciones del modelo generalizado para fotovoltaica-LFSR.
3.2 PVIDs – Dispositivos Fotovoltaicos Inteligentes
Sandoval (2018a) desarrolló el estudio de los dispositivos electrónicos inteligentes IED aplicados
a energías renovables ERNC. En este caso se plantea para aplicaciones fotovoltaicas de manera
especíca en la que se puede avanzar con la reconguración de estructuras, ampliando los concentos
de electrónica a hardware de paneles fotovoltaicos inteligentes. En el caso de SFV, se plantea el
modelado inteligente a nivel de denición de capas del arreglo PV al igual que la topología de la
matriz de conexiones del sistema, con el objetivo de tener la conguración óptima e implementar
controladores electrónicos adaptativos en una ANN.
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
55
3.3 Optimización del rendimiento de célula fotovoltaica
En términos de eciencia, la Fractal LFSR-PV tendría la capacidad de optimizar el material y
la conductividad. Asimismo, el diseño propone una matriz recongurable para estructuras y mate-
riales ópticos, fotocatalizador, semiconductores, fotovoltaicas y almacenamiento, como una reserva
base que puede ser congurada y ponderada a través del modelado dinámico. Finalmente, es un
modelo reciclable con diseño modular para ser clasicado en componentes luego de su vida útil.
Esto muestra un ahorro signicativo en recursos y un aumento de la eciencia. Por su parte, las
tecnologías Grätzel DSSC, Perovskita, nanoestructuras (de orden < 300 nm que tienen entre sus
objetivos reducir la reflexión en la supercie y mejorar la absorción en el semiconductor) y PERC
aportan alternativas para mejorar la eciencia de las celdas fotovoltaicas (aumentar la captación de
fotones en el espectro óptico de luz visible y la captación de electrones en la etapa de conversión),
disminuir los requerimientos de energía y reducir los costos en etapa de fabricación. La contribu-
ción del presente trabajo con respecto a los antecedentes considerados es el concepto de tecnología
recongurable: conguraciones de capas funcionales para la optimización de las celdas fotovoltaicas
aplicando FPGA para la implementación del control neuronal. Esto a su vez permite la congura-
ción de las capas del panel. Se propone una batería de materiales para la conguración de capas a
partir de formulaciones de la red neuronal. La estimación de eciencia de estos y su capacidad de
adaptación permitirá alcanzar diseños óptimos por medio de la reconguración de la estructura y la
composición de las capas del panel según las condiciones ambientales de irradiancia y temperatura.
En la Tabla 8 se presenta el reporte de optimización para factores de seguimiento solar en el
arreglo fotovoltaico, técnicas de concentración solar para tecnología High Concentration Photo-
voltaic (HCPV), almacenamiento y recursos hardware de la ANN.
Los resultados permiten validar las preguntas de investigación a través de la correspondencia
entre los elementos estructurales LFSR y la aplicabilidad de tecnología FPGA para hardware
recongurable en donde se pueden optimizar los coecientes de temperatura en el contexto del análisis
de las tecnologías emergentes en fotovoltaica. Esto ha permitido formular un modelo en componentes
fractales para fotovoltaica inteligente como una contribución en esta área de investigación. La
correspondencia entre los arreglos FV recongurables y concentradores ópticos (CSL), arreglos de
heliostatos como el proyecto cometa solar (Sandoval, 2020a), puede ser extrapolada en materiales
funcionalizados para la conguración de capas en el convertidor FV a partir de una matriz predenida
de elementos semiconductores. Con base al estudio, se plantean trabajos futuros de aplicación de
síntesis dinámica, FV robótica, bio-entrenamiento de ANN Fractal (Sandoval, 2020b), así como
nanoestructuras para formulación adaptativa. Existen diversas alternativas basadas en el modelo
LFSR: (1) diseño e implementación por fabricación aditiva in situ, (2) optimización de paneles
instalados con capa de pre-emisión y capa de aislamiento para realimentación con acondicionamiento
del arreglo, (3) mosaico de paneles de semiconductores y paneles bio-fotovoltaicos para remediación
ambiental y compensación de emisiones de CO
2
. En todos los casos, los coecientes de conversión,
el almacenamiento de energía en sus diferentes estados y el desplazamiento por capas o multiplexado
y realimentación estandarizan el comportamiento matemático del arreglo. El modelo desarrollado
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
56
Variable de optimización Técnicas de Optimización / Resultados
Densidad de Energía / Máxi-
ma captación de fotones
Capa Nano-estructurada para aumentar la supercie de captación de irradiancia
Paneles Bifaciales para captación de radiación reflejada
Capas de aislamiento PERC, realimentación a la capa de conversión y disminución de la reflectancia
Degradación del panel FV Filtración de componente espectral en arreglos ópticos propuesto en Cometa Solar (Sandoval, 2020a)
Pérdidas por temperatura
Arreglo Termo-estable Perovskita, para soporte de concentración solar HCPV.
Refrigeración PV (Bai et al., 2016) y Recuperadores de calor regenerativo en paneles FV (Sandoval, 2020d)
Dinámica de Irradiancia Re-direccionamiento solar por Arreglo de heliostatos para ampliación de HSP (Sandoval, 2020a)
Eciencia Fotovoltaica
Conguración de arreglos tándem híbridos: orgánicos y tecnologías emergentes
Optimización de la capa de transporte de electrones
Sensibilización por colorante para la captaciones de fotones en un rango del espectro especíco
Concentración solar
Concentración de radiación selectiva por arreglo de lentes y ltros ópticos sobre paneles instalados, aplicación de
ANN para conguración.
Características Técnicas Isc Vo c TC Isc TC Voc P
MPP
Relación de Coef. de Temp. 9.28 A 47.0 0.044 % / °C 4.083 mA / °C -0.31 % / °C -145.7mV / °C 375 W
Seguimiento Solar Fijo (áng. opt.) HSAT VSAT Seg. en 2 ejes
1
Optimización 1 % 7 % 6 % 10 %
MPPT (Sandoval, 2020d) Control PWM electrónica de potencia del interruptor de carga en convertidor DC-DC
Almacenamiento
CSL, Térmico Solar (Kashyap et al., 2019), óxido metálico no tóxico para recarga de energía eléctrica, ultra-con-
densadores, como alternativas de almacenamiento transitorio.
Sistema de Bio-Remediación Foto-catalizador, para absorción de CO
2
y activación de degradación de compuestos.
Robótica de Mantenimiento Mecanismo de fabricación aditiva / optimización modular o método multiplexado
Reconguración Programación VDHL de síntesis funcional para arreglos fotovoltaicos
Capa/Componente LFSR: Funcionalidad Almacenamiento Realimentación
Filtración espectral Lentes Filtro IR, UV
Rec. Calor Regenerativo de
Fotones
Co-generación Térmica
Concentración espectral Óptico / CSL Stokes Shift Nano-estructuras / RIT / PERC
Fotovoltaica / Transporte Tándem ANN-PV Electrones / Hidrogeno Capa de Transporte
ANN-LFSR: Capas Registro Realimentación
Neurona Galois Multiplicador Memoria s(t-1)
Fractal ANN Neuro-Operadores LFC(n,k) TDL y(t-1)
LFSR (n,k) k Ret. (ns) Frec (MHz) LUT % FF %
2
LFSR (255,223) 223 3.81 418 305 - 256 -
2
LFSR (247,223) 247 3.88 429 142 - 64 -
1
Simulación de Eciencia. Explorador Solar (Universidad de Chile, 2020)
2
Síntesis en Hardware a través de herramienta de desarrollo ISE11.1, Xilinx
Tabla 8. Factores y Sistemas de Optimización del Sistema Fotovoltaico
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
57
soporta tanto diseño de capas funcionales como actualización a través de etapas adaptadas en
SFV instalados en tanto que los recursos de hardware en electrónica FPGA puedan ser estimados
con las ecuaciones presentadas en Sandoval (2019b).
El modelo desarrollado también puede ser orientado a aplicaciones ambientales como etapas
previas de arreglos heliostáticos de redireccionamiento o canalización de radiación solar a cierta
altura. Estos pueden optimizar las horas de sol pico para instalaciones FV, concentración y ltrado
inteligente de forma coordinada por la ANN con el n de alcanzar la protección de la radiación
solar directa en glaciares o áreas forestales. Esto a través de tecnología de lentes fotocromáticas o
polarizados tándem, ltrado espectral selectivo de radiación (UV), así como electroquímica foto-
sintética para procesamiento de CO
2
, disminución del consumo de energía, recursos y control RCR
para cogeneración térmica. En el caso de los registros de energía, se consideran concentrados lumi-
niscentes CSL, guía de onda para transmisión de energía solar (captación aérea puntos de absor-
ción y conversión fotovoltaica en una estación terrena), con el n de implementar el sistema con
menor cantidad de material fotovoltaico, optimizando la supercie y la densidad energética de la
tecnología fotovoltaica y los recuperadores de calor en el sistema de refrigeración de los paneles
solares. Esto provocaría un aumento de eciencia relacionado a los coecientes de temperatura y
recaptación. Asimismo, se incorporaría nanocircuitos de recuperación de calor, lo que del panel
congurable permitiría el aprovechamiento de la energía térmica (en materiales termoeléctricos) y
evitaría la disipación de calor residual al ambiente.
El control de cada una de las variables de optimización se ha diseñado a través de redes neu-
ronales Fractal-ANN. Los aspectos a destacar corresponden al diseño estructurado en concatena-
ción de aportes en la suma de coecientes por etapa y diseño regenerativo: capas recaptadoras y
recuperadoras, con realimentación de energía y aplicación de técnicas para micro almacenamiento
en niveles intermedios (RCR) dadas las propiedades del material. Esto se suma a los aportes de
eciencia del diseño para mejorar la densidad energética de los SFV. Se han identicado microsis-
temas fractales en los componentes que se concatenan de forma modular, lo que permite soportar
propuestas avanzadas de reparación de estructuras, activación selectiva de etapas congurables y la
foto-modulación de materiales dentro del concepto Systems on Chip (SoC), aplicado a fotovoltaica.
3. CONCLUSIONES
Gracias al modelo matemático desarrollado para la descripción de arreglos fotovoltaicos, basado
en la arquitectura LFSR, se logró un concepto tecnológico de paneles fotovoltaicos recongurables
con capacidad evolutiva para la adaptación de parámetros, matriz de conmutación, propiedades de
las capas funcionales y optimización de eciencia. Todas estas son aplicables en una fotovoltaica
distribuida para la reducción en las pérdidas asociadas a transmisión. Este modelo permite la
estimación de rendimiento en laboratorios o en parques solares de investigación previamente
instalados. Una de las ventajas es la posibilidad de recongurar el diseño según la localización del
arreglo solar, por ejemplo en Smart City (Sandoval, 2018c) o plataformas de energías renovables
(Sandoval, 2019a). También da la oportunidad de avanzar en instalaciones PV flotantes (con
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
58
refrigeración por agua) como paneles solares aéreos con conversión eólica basada en cometas
solares (Sandoval, 2020a), donde se optimizan condiciones como la masa de aire, irradiancia directa,
efectos de reflexión y temperatura.
De esta manera, se obtiene un modelo matemático (descrito por funciones iterativas de geometría
fractal) para la conguración de paneles fotovoltaicos que busca alternativas más ecientes de
producción de energía y respetuosas con el medio ambiente. A partir del modelo LFSR se obtiene
una generalización que permite simplicar el proceso de producción, dotando a la nueva tecnología
de exibilidad por contar con una matriz congurable de componentes del convertidor. Hasta el
momento no se han presentado conceptos de paneles fotovoltaicos recongurables. Este modelo
aportaría capacidad de adaptación y un desarrollo más sostenible en esta tecnología dentro de los
criterios de hardware circular que permitan la actualización en el tiempo.
Integrando convertidores de ERNC en un sistema recongurable, se plantea escalar módulos
piloto de convertidores de energía solar híbrida (Sandoval, 2013) de concentración fotovoltaica
HCPV y Termo-Solar, basado en la propuesta para formación e investigación de nuevas tecnologías
para disminuir la cantidad de material fotovoltaico, aumentar la eciencia del sistema, disminuir los
costos de implementación y aplicar re-captadores y RCR en realimentación. Esto, a su vez, considera
los ciclos regenerativos y estima el impacto ambiental con reciclaje de componentes para disminuir
la extracción de recursos, protegiendo ambientes naturales, agrícolas y forestales. Otros trabajos
que representan posibles alternativas para los diseños de arreglos fotovoltaicos corresponden a la
aplicación de mecanismos de absorción en electromagnetismo (Kaur, Upadhyaya & Palandoken,
2018), así como algoritmos adaptativos donde se replican avances en el área de diseño de antenas
inteligentes de telecomunicaciones y beamforming (Castellanos, Sandoval & Azpúrua, 2014) para
avanzar en los patrones fractales del modelo de implementación.
Se ha desarrollado un concepto tecnológico para arreglos fotovoltaicos extrapolable a otros
sistemas de energías renovables, en los que se conjuga los principios de sostenibilidad y aporte al
medio ambiente. Estos proyectos deben integrar funciones de protección ambiental, compensación,
bioremediación y reservas ecológicas. Se propone así una plataforma para el estudio de la eciencia
y soluciones óptimas en la matriz energética bajo un modelo de redes auto-organizadas con
esquema fractal, así como la digitalización aplicando modelos neuronales para la gestión remota
de las instalaciones, la adaptación de los parámetros de los convertidores y el control adaptativo.
El concepto de tele reconguración a través de tecnología FPGA permitirá optimizar la estructura
de los convertidores, su concatenación modular, rendimiento y función objetivo como sistemas
eco-inteligentes. Asimismo, los códigos en lenguaje descriptor de hardware VHDL desarrollados
representan un aporte para la implementación en línea de soluciones innovadoras en el campo de
la ingeniería de la energía solar-fotovoltaica. La ingeniería debe considerar el diseño de sistemas
regenerativos que se inspire en esa renovación de los recursos y energía dentro de un equilibrio y
conservación, tomando en cuenta la electrónica recongurable, los modelos circulares y la matriz
adaptativa de celdas solares.
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
59
REFERENCIAS
Alarcón, D., Montilla, M., Montilla, X., Montilla, L., Ortiz, R., & Marquez, K. (2014). Síntesis Electroquímica del
Semiconductor Cu2Se en Presencia de iones Pb2+ sobre Carbón Vítreo. Conocimiento Libre y Licenciamiento
CLIC, (8).
Almonacid, F., Fernández, E. F., Rodrigo, P., Pérez-Higueras, P. J., & Rus-Casas, C. (2013). Estimating the maximum
power of a high concentrator photovoltaic (HCPV) module using an articial neural network. Energy, 53, 165-172.
Amorós-Pérez, A., Cano-Casanova, L., González-González, S., Peco-García, C., Lillo-Rodenas, M. A., Román-
Martínez, M. C., & Keller, N. (2019). Preparación de fotocatalizadores de TiO
2
y ZnO para la eliminación de
contaminantes en medio acuoso. Recuperado de https://rua.ua.es/dspace/bitstream/10045/96668/3/Resumen_
Ana-Amoros-Secat19.pdf
Bai, A., Popp, J., Balogh, P., Gabnai, Z., Pályi, B., Farkas, I., ... & Zsiborács, H. (2016). Technical and economic effects
of cooling of monocrystalline photovoltaic modules under Hungarian conditions. Renewable and Sustainable
Energy Reviews, 60, 1086-1099.
Bernechea, M., Miller, C., Xercavins, G., So, D., Stavrinadis, A., Konstan, G. (2016). Solution-processed cells
based environmentally friendly AgBiS2 nanocrystals. Nature Photonics, 10, 521–525. DOI: 10.1038/
NPHOTON.2016.108
Camargo-Silva, S. M., Muñoz-Prieto, E., Vera-Graziano, R., & Gomez-Pachón, E. Y. (2017). Fabricación de nanobras
de TiO
2
/ZnO para aplicaciones de almacenamiento de energía. Orinoquia, 21(1), 56-63. Recuperado de https://
www.redalyc.org/pdf/896/89659219002.pdf
Castellanos Hernández, J. A., Sandoval Ruiz, C. E., & Azpúrua Auyanet, M. A. (2014). A FPGA implementation of a
LMS adaptative algorithm for smart antenna arrays. Revista Técnica de la Facultad de Ingeniería Universidad
del Zulia, 37(3), 270-278.
Cereceda, S. B. (2018). Materiales híbridos a base de Bi2WO6 y polímeros de Poli (N-vinilcarbazol) como capa
activa absorbente en la región visible para la generación de fotocorriente (Tesis de Maestría). Recuperado de
https://cimav.repositorioinstitucional.mx/jspui/bitstream/1004/2284/1/Tesis%20M.%20Sof%C3%ADa%20
Basurto%20Cereceda.pdf
Cevik, E., Tombuloglu, H., Anıl, I., Senel, M., Sabit, H., AbdulAzeez, S., ... & Barghouthi, M. (2020). Direct electricity
production from Microalgae Choricystis sp. and investigation of the boron to enhance the electrogenic activity.
International Journal of Hydrogen Energy, 45(19), 11330-11340.
Choi, J., Choi, M. J., Kim, J., Dinic, F., Todorovic, P., Sun, B., ... & Voznyy, O. (2020). Stabilizing Surface Passivation
Enables Stable Operation of Colloidal Quantum Dot Photovoltaic Devices at Maximum Power Point in an Air
Ambient. Advanced Materials, 32(7), 1906497.
Díaz-García, A. K. (2017). Células tándem fotoelectroquímicas para la generación de hidrógeno y otros combustibles
solares (Tesis). Universidad de Alicante. Recuperado de https://dialnet.unirioja.es/servlet/tesis?codigo=136199
Einzinger, M., Wu, T., Kompalla, J. F., Smith, H. L., Perkinson, C. F., Nienhaus, L., ... & Baldo, M. A. (2019).
Sensitization of silicon by singlet exciton ssion in tetracene. Nature, 571(7763), 90. Recuperado de https://
www.nature.com/articles/d41586-019-02014-8
ESA. (2020). Bendy, ultra-thin solar cell. Recuperado de https://www.esa.int/
Fernández-Ahumada, L. M., Ramírez-Faz, J., López-Luque, R., Varo-Martínez, M., Moreno-García, I. M., & de la
Torre, F. C. (2020). A novel backtracking approach for two-axis solar PV tracking plants. Renewable Energy,
145, 1214-1221. Recuperado de https://www.sciencedirect.com/science/article/pii/S0960148119308900
Flores Díaz, N. (2014). Determinación de la eciencia energética de celdas solares sensibilizadas con pigmentos
obtenidos de extractos naturales, procesos microbiológicos y nanopartículas de germanio (Tesis de Licenciatura).
Universidad de Costa Rica. Recuperado de http://repositorio.sibdi.ucr.ac.cr:8080/jspui/handle/123456789/1745
Formentín Vallés, R. (2013). Estudio de las características electrónicas de dispositivos fotovoltaicos moleculares:
células Grätzel (Tesis de Doctorado). Universidad Politécnica de Valencia. Recuperado de https://riunet.upv.
es/bitstream/handle/10251/36015/MEMORIA.pdf?sequence=1
SANDOVAL: Arreglos fotovoltaicos inteligentes con modelo LFSR-recongurable
60
González de la Fuente, J. (2015). Utilización de ultracondensadores para la mejora de eciencia de una instalación
fotovoltaica (Tesis de Doctorado). Universidad de Valladolid. Recuperado de https://pdfs.semanticscholar.org/
e1bf/de0a4cca5c6ccef41fba24efb9a566b64616.pdf
Gutiérrez, D. F. G., Quijano, D. G., Gutiérrez, D. I. G., & Cervantes, E. S. (2018). Celdas solares tipo perovskita y su
estabilidad en el medio ambiente. Ingenierías, 21(80), 21-35.
Hassan, A., Wahab, A., Qasim, M. A., Janjua, M. M., Ali, M. A., Ali, H. M., ... & Javaid, N. (2020). Thermal management
and uniform temperature regulation of photovoltaic modules using hybrid phase change materials-nanouids
system. Renewable Energy, 145, 282-293.
Kashyap, V., Sakunkaewkasem, S., Jafari, P., Nazari, M., Eslami, B., Nazi, S., ... & Ghasemi, H. (2019). Full Spectrum
Solar Thermal Energy Harvesting and Storage by a Molecular and Phase-Change Hybrid Material. Joule, 3(12),
3100-3111.
Kaur, K. P., Upadhyaya, T., & Palandoken, M. (2018). Dual-Band Compact Metamaterial-Inspired Absorber with Wide
Incidence Angle and Polarization Insensitivity for GSM and ISM Band Applications. Radioengineering, 27(4),
1025-1031. Recuperado de https://www.radioeng.cz/fulltexts/2018/18_04_1025_1031.pdf
Khalaji, M., Bakhodaa, S., Saidurbc, R. and Hanaeia, H. (2018). Recent progress in perovskite solar cells.
Renewable and Sustainable Energy Reviews, 81(2), 2812-2822.
Kim, H., Parkhideh, B., Bongers, T. D., & Gao, H. (2012). Recongurable solar converter: A single-stage power
conversion PV-battery system. IEEE transactions on power electronics, 28(8), 3788-3797. Recuperado de http://
www.pgembeddedsystems.com/securelogin/upload/project/IEEE/41/PG2013PE0010/19.pdf
Kim, K. A., Xu, C., Jin, L., & Krein, P. T. (2013). A dynamic photovoltaic model incorporating capacitive and reverse-
bias characteristics. IEEE Journal of photovoltaics, 3(4), 1334-1341. Recuperado de http://www.ematlab.com/
paper/dynamic/D25/D25.pdf
Li, X., Dar, M. I., Yi, C., Luo, J., Tschumi, M., Zakeeruddin, S. M., ... & Grätzel, M. (2015). Improved performance
and stability of perovskite solar cells by crystal crosslinking with alkylphosphonic acid ω-ammonium chlorides.
Nature chemistry, 7(9), 703.
Madriz, L., Tatá, J., Cuartas, V., Cuéllar, A., & Vargas, R. (2014). Photoelectrochemical solar cells based on Bi2WO6.
Química Nova, 37(2), 226-231. Recuperado de http://www.scielo.br/pdf/qn/v37n2/v37n2a06.pdf
Martínez, J. L. O., Gallardo, S. G. F., & Ríos, A. V. (2016). Diseño de celdas solares sensibilizadas con tinta N719
empleando electrolitos en gel de red polimérica interpenetrada, a base de poli (anilina-co-o-fenilendiamina) o
polianilina dopados con i-carragenina (Tesis). Recuperado de https://cimav.repositorioinstitucional.mx/jspui/
bitstream/1004/850/1/Jorge%20Luis%20Olmedo%20Mart%C3%ADnez%20MCM.pdf
Navarro Vásquez, A. P. (2015). Los Carotenoides y su aplicación en la Biotecnología Ambiental (Tesis de Bachillerato).
Ponticia Universidad Católica del Ecuador. Recuperado de http://repositorio.puce.edu.ec/handle/22000/8730
Nishigaki, Y., Nagai, T., Nishiwaki, M., Aizawa, T., Kozawa, M., Hanzawa, K., ... & Fujiwara, H. (2020). Extraordinary
Strong Band-Edge Absorption in Distorted Chalcogenide Perovskites. Solar RRL, 1900555. Recuperado de
https://onlinelibrary.wiley.com/doi/abs/10.1002/solr.201900555
NREL. (2020). Best Research-Cell Efciency Chart. Recuperado de https://www.nrel.gov/pv/cell-efciency.html
Pankratov, D., Pankratova, G., & Gorton, L. (2020). Current Trends in Development of Photosynthetic Bioelectrochemical
Systems for Light Energy Conversion. In Novel Catalyst Materials for Bioelectrochemical Systems: Fundamentals
and Applications (pp. 123-146). American Chemical Society.
Pérez, S., Yasmin, G., Gómez, J. A., & Vera López, E. (2018). Energía renovable: celdas solares de Perovskita.
Investigación Joven, 5.
Reyes-Tolosa, M.D. (2012). Modelo de nucleación y crecimiento de capas nanoestructuradas de óxido de zinc sobre
sustratos cerámicos con aplicación a materiales fotovoltaicos híbridos (Tesis de Doctorado). Recuperado de
https://riunet.upv.es/bitstream/handle/10251/14725/tesisUPV3759.pdf?sequence=1
Ruiz, P. R. (2014). Diseño y caracterización de nuevos sistemas uorescentes para su utilización como interruptores
y puertas lógicas moleculares: implicación de procesos de transferencia electrónica y de energía en el estado
Ingeniería 30 (2): 32-61, julio-diciembre, 2020. ISSN: 2215-2652. San José, Costa Rica DOI 10.15517/ri.v30i2.39484
61
excitado (Tesis de Doctorado). Universidad de Huelva. Recuperado de https://dialnet.unirioja.es/servlet/
tesis?codigo=46664
Sandoval-Ruiz, C. (2020a). Proyecto Cometa Solar CS para optimización de Sistema Fotovoltaicos. Universidad,
Ciencia y Tecnología, 24(100).
Sandoval-Ruiz, C. E. (2020b). LFSR-Fractal ANN Model applied in R-IEDs for Smart Energy. IEEE Latin America
Transactions, 18(4), 677-686. Recuperado de https://www.inaoep.mx/~IEEElat/index.php/transactions/article/
view/1423/446
Sandoval-Ruiz, C. (2020c). Operador matemático LFC(n,k) en campos nitos basado en concatenación fractal para
GF(2m) – Extendido. Ciencia e Ingeniería, 41(2), 197-204. Recuperado de http://erevistas.saber.ula.ve/index.
php/cienciaeingenieria/article/view/16055/21921927185
Sandoval-Ruiz. C. (2020d). Arreglo Inteligente de Concentración Solar FV para MPPT usando Tecnología FPGA.
Revista Técnica Univerdidad del Zulia, 43.
Sandoval-Ruiz, C. (2019a). Modelo VHDL de Control Neuronal sobre tecnología FPGA orientado a Aplicaciones
Sostenible, Revista Chilena de ingeniería. Ingeniare, 27(3). 383-395 Recuperado de https://dialnet.unirioja.es/
servlet/articulo?codigo=6841589
Sandoval-Ruiz, C. (2019b). Métodos numéricos en diferencias nitas para la estimación de recursos de Hardware
FPGA en arquitecturas LFSR (n,k) fractales. Ingeniería, investigación y tecnología, 20(3). 1-10. http://dx.doi.
org/10.22201/.25940732e.2019.20n3.032
Sandoval-Ruiz, C. (2019c). Plataforma de Investigación de Redes Eléctricas Recongurables de Energías Renovables
aplicando Modelos LFSR. Universidad, Ciencia y Tecnología, 23(95), 103-115. Recuperado de http://uctunexpo.
autanabooks.com/index.php/uct/article/view/253/409
Sandoval-Ruiz, C. (2018a). Códigos Reed Solomon para sistemas distribuidos de energías renovables y smart grids a
través de dispositivos electrónicos inteligentes sobre tecnología FPGA. Memoria Investigaciones en Ingeniería,
(16), 37-54. Recuperado de http://revistas.um.edu.uy/index.php/ingenieria/article/view/296
Sandoval-Ruiz, C. (2018b). Control de Micro-Redes de Energía Renovable a través de estructuras LFCS Recongurables
en VHDL. Ciencia y tecnología, (18), 71-86. https://doi.org/10.18682/cyt.v1i18.847
Sandoval-Ruíz, C. (2018c). Arquitectura Recongurable y Redes Inteligentes aplicadas al Diseño Sostenible en Smart
City. Revista Electrónica Cientíca Perspectiva, 7(12), 1-19.
Sandoval-Ruiz, C. (2016). Plataforma de Gestión, Investigación y Formación en Tecnologías Sostenibles, para soporte
de un Laboratorio Remoto. Rev. Eduweb, Univ. Carabobo, 10(1), 79-92. Recuperado de https://dialnet.unirioja.
es/servlet/articulo?codigo=5906476
Sandoval Ruiz, C. (2013). Diseño conceptual de un módulo híbrido para Generación eléctrica. En VIII Congreso
Nacional y 2do Congreso Internacional de Investigación Universidad de Carabobo.
Sandoval-Ruiz, C. (2012). Codicador RS (n, k) basado en LFCS: caso de estudio RS (7, 3). Revista Facultad de
Ingeniería, (64), 68-78. Recuperado de http://aprendeenlinea.udea.edu.co/revistas/index.php/ingenieria/article/
view/13116
Valero-Moro, J., Bonilla-Turmero, Y., & Sandoval-Ruiz, C. (2017). Estación tele-operada de robótica móvil, para el
laboratorio de micro-controladores. Universidad Ciencia y Tecnología, 21(83), 69-75. Recuperado de http://
www.uct.unexpo.edu.ve/index.php/uct/article/viewFile/794/639
Universidad de Chile (2020). Explorador Solar Fotovoltaico. Recuperado de http://ernc.dgf.uchile.cl:48080/fotovoltaico
Valero Delgado, A. (2019). ¿Economía circular? El reto de la reciclabilidad de los nuevos materiales. DIGITAL.CSIC.
Recuperado de https://digital.csic.es/handle/10261/176770
Venneri, F., Costanzo, S., & Borgia, A. (2019). A Dual-Band Compact Metamaterial Absorber with Fractal Geometry.
Electronics, 8(8), 879. Recuperado de https://www.mdpi.com/2079-9292/8/8/879
Xu, Y., Gong, T., & Munday, J. N. (2015). The generalized Shockley-Queisser limit for nanostructured solar cells.
Scientic reports, 5, 13536.
Young, J. L., Steiner, M. A., Döscher, H., France, R. M., Turner, J. A., & Deutsch, T. G. (2017). Direct solar-to-hydrogen
conversion via inverted metamorphic multi-junction semiconductor architectures. Nature Energy, 2(4), 1-8.